Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by chyavanphadke

  1. C

    How to Remove latch

    On synthesizing this code using the script, the terminal report will show a latch. What changes I have to do in code so as to remove the latch module dff( input clk,reset,d, output reg q); always @ (clk or reset) begin if (reset) begin q<=0; end else begin...
  2. C

    cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

    @@vGoodtimes, thanks for the explanation. I understood and did accordingly it worked.
  3. C

    cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

    I wrote a Verilog code for DE-10 Lite in Quartus Prime, I am getting the error it says "cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct". Can you please help? module DemoBlink ( input clk, rst, output [9:0] LED...

Part and Inventory Search

Back
Top