Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by cherizkrish

  1. C

    To script critical paths until slack is met..

    After clock_opt, When i did report_timing, i got Worst slack (violated) 1.5ns.. **************************************** Report : timing -path full -delay max -max_paths 1 Design : aes Version: D-2010.03-ICC-SP4 Date : Sat Jun 1 16:12:49 2013...
  2. C

    IC Compiler (SYNOPSYS) HELP!!! i

    Hey hi, Even I face the same issue.. I guess you need to import files again from gui. File > import..

Part and Inventory Search

Back
Top