Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Bwargh

  1. B

    Verilog FPGA Encoder and Decoder

    I was also provided with the testbench: timescale 1ns/1ns module DecEnc_tb; reg [2:0] in; wire [2:0] out; integer i; //instantiate the DUT DecEnc DecEnc(.in(in), .out(out)); //create the stimulus initial begin in = 0; i = 0; for (i=0; i<B; i=i+i) #100 in = i[2:0]; end...
  2. B

    Verilog FPGA Encoder and Decoder

    Yeah, three switches will count as the inputs and the LED's will be the eight outputs. So I've done some more (renamed variables in decoder as well). So far I have : module decoder(dIN, dOUT ); input [2:0] dIN; output [7:0] dOUT; wire [7:0] dOUT; assign dOUT = (dIN == 3'b000) ? 8'h01 ...
  3. B

    Verilog FPGA Encoder and Decoder

    Hello all, I'm brand new to Verilog and hardware design all together. I've recently purchased the Nexy2 (Spartan3e) Board. I'm having trouble on how to approach the code portion of writing decoder and encoder modules as well as the module that will instantiate them together. I'm attempting...

Part and Inventory Search

Back
Top