Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bubu321

  1. B

    Logic Analizer Project

    hi, - look at miniLa project. http://minila.sourceforge.net/ - i just make this analyzer, working good. --
  2. B

    Looking for bin file of EEPROM from JTAG-USB by Digilent

    hi, - looking for bin ( hex ) file eeprom 24lc128 from JTAG-USB by Digilent. - i'll only has file dcabusb.iic < 9.4 kb > from USB firmware upgrade ver 1.2 **broken link removed** **broken link removed** Thanks. bubu
  3. B

    Need PLS173 & PLS153 Programming Algorithm

    pls153 https://pdf1.alldatasheet.net/datasheet-pdf/view/18648/PHILIPS/PLS153.html
  4. B

    FPGA Schematics for PCI card

    pci fpga schematic hi, - PCi card on xc2s100 PQ208, controller for HDD drive. **broken link removed** :D
  5. B

    Assigning clock pin in XSA 50

    hi, - look at this **broken link removed** σ
  6. B

    XC9572 -Binary counter

    bit w xc9572 @ simce hi, - look at this page **broken link removed** - CPLD demo source code, CPLD Jedec file - Manual dlk51 including schematic, brochures and readme-file - EAGLE files, board schematic and library. - look also to unlooper board, it's simly
  7. B

    how to detect fault location in IC for gate level

    hi, - pls try Universal Scan - make search for U.
  8. B

    pci card with xc9572XL, how to read file from this

    xc9572xl card hi, - i have pci card with chip spartan , 17s150ACP and xc9572xl - how to read file from xl9572xl, - jtag said that this chip is read and write protect. :idea: **broken link removed** **broken link removed**
  9. B

    Developing HDL on a PIC card with FPGA

    Re: FPGA PCI development hi, - ace make pc 3000 pci on xilinx spartan XC2S100 PQ208. - on this board is also xc9572xl and PROm xc17S150ACP. - all is working recovery HDD.
  10. B

    If anybody need help in EAGLE, ask me in this POST !

    dxf2scr.exe hi, - I need socket land-pad footprint for wells TSOP 40 , pitch 0.5, width 10 mm and tip to tip 14.00 mm. - part number: 648A0402211-A01 or tell me where is library for this ZIF socket. THX
  11. B

    [EAGLE] searching for library of SATA connector

    jm20330 schematic hi, who know where is library for SATA connectors. - i make project SATa to PATA adapter on chipset JMicron JM 20330. - EAGLE is in version 4.13 THX :|
  12. B

    Problem with autorouting Eagle schematic file

    Re: Eagle Autorouting hi, - see example, subject: singleside and look at layer cost, top or bot restrict. :D

Part and Inventory Search

Back
Top