Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by B21hasni

  1. B

    Build an Adder using VHDL

    if I remove ovf what do I have to put instead of ovf<= temp(15) xor a(15) xor b(15) xor temp (16);
  2. B

    test bench for an Adder

    Re: error while compiling test bench Quartus Prime 15.1
  3. B

    test bench for an Adder

    that what I did and I got this error
  4. B

    test bench for an Adder

    Re: error while compiling test bench what do you mean??
  5. B

    Build an Adder using VHDL

    can I say, this design is an adder??
  6. B

    test bench for an Adder

    error while compiling test bench library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder_tb is end adder_tb; architecture adder_16b of adder_tb is component adder port( a : in std_logic_vector (15 downto 0); b : in std_logic_vector (15 downto 0); s : out...
  7. B

    test bench for an Adder

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder is port( a : in std_logic_vector (15 downto 0); b : in std_logic_vector (15 downto 0); s : out std_logic_vector (15 downto 0); cf : out std_logic; ovf : out std_logic ); end adder; architecture adder of...
  8. B

    Build an Adder using VHDL

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity adder is port( a : in std_logic_vector (15 downto 0); b : in std_logic_vector (15 downto 0); s : out std_logic_vector (15 downto 0); cf : out std_logic; ovf : out std_logic ); end adder; architecture adder of...
  9. B

    VHDL code for Designing 16 Bit ALU

    library ieee; --the declare the library iee which contains many packages use ieee.std_logic_1164.all; -- import all package from std_logic_1164 into the entity use work.ALU.all; -- to make the contents of the ALU package from the work library visible entity ALU_test is...
  10. B

    compile package and entity

    the code in ALU package use work.ALU.all; what does this line use work.ALU.all; mean? what does it function?
  11. B

    No operation (NOP) function

    I have tried it but it did not work
  12. B

    compile package and entity

    How can I add the package source to the Project??
  13. B

    No operation (NOP) function

    I am writing an ALU package with a number of functions, as follow, How can I write No Operation function ?? library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; package ALU is function addition (A,B: std_logic_vector) return std_logic_vector...
  14. B

    compile package and entity

    I used Quartus Prime 15.1 Lite Edition software to compile the design. I haven't compiled ALU package before
  15. B

    compile package and entity

    how can I compile them in the same library????

Part and Inventory Search

Back
Top