Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by as2447

  1. A

    Synopsys VCS and Linux

    libvirsim.a Thanks for the idea. I have some problems implementing it, though. first, when I unpack the libvirsim.a, there is only one files there: ivcspli7_1_0_1.o second, being an inexprienced linux user, I don't know how to copile ctype.c independently so I'd appreciate it if you could...
  2. A

    Synopsys VCS and Linux

    synopsys vcs vcsd Hi, I'm trying to run VCS under linux but get the following message when trying to compile a verilog design: ------------------------------------------------------------------------------------------ gcc -o ../simv 5NrI_d.o 5NrIB_d.o nE5Z_1_d.o SIM_l.o...

Part and Inventory Search

Back
Top