Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by arjun1nh07

  1. A

    Inout port connection in sub module

    I have ram design in that design one sub module as inout port. I have used continuous assignment statement to assign input and output to that inout port assign d1=(WR1 && !RD1 && (!SF && !AF))?din:0; assign d2=(WR2 &&!RD2 &&(!SF && !AF))?din:0; assign d3=(WR3 &&!RD3 &&(!SF &&...
  2. A

    System verilog `include problem in vcs

    Error-[SFCOR] Source file cannot be opened Source file "fsm_trans.sv" cannot be opened for reading due to 'Not a directory'. Please fix above issue and compile again. "../lib/fsm_env.sv", 3 Source info: `include "fsm_trans.sv" I got the error while compiling with the command vcs...

Part and Inventory Search

Back
Top