Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Arindam123

  1. A

    Explain vhdl ligne " conv_std_logic_vector(CONV_INTEGER(cnt)"

    if you dont give the enable signal, it wont work. generally its adding 1 to the count only after the enable signal is set to 1.
  2. A

    problem in executing

    hey there, i am trying to simulate the mod operation in modelsim and i am not getting a proper output. my code is entity divi is port( a,b : in std_logic_vector(15 downto 0); y : out std_logic_vector(15 downto 0) ); end divi; architecture ber of divi is signal a1,b1,c1 ...
  3. A

    problem in getting the output

    hey there, i am trying to simulate the mod operation in modelsim and i am not getting a proper output. my code is entity divi is port( a,b : in std_logic_vector(15 downto 0); y : out std_logic_vector(15 downto 0) ); end divi...

Part and Inventory Search

Back
Top