Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by abhijeet.kumar

  1. A

    [Moved]Code for a controller to navigate a robo on a Uniform plane(using three points

    @trickydicky Dear sir, after fallowing your suggestion i improved my code but it has remain some errors, please tell e what sud be next. here is the improved code. The errors are: ERROR:HDLCompiler:1638 - "D:\atnrobo\motion planning\MOTION PLAN\repair\finalized.vhd" Line 71: found '0'...
  2. A

    Matlab code for designing a plane.................

    Hi all i am new to matlab...but aware about basics.... I have to design a plane using three ponts...these three points will be in matrixs form...please help me. Thanks in advance......8-)
  3. A

    Intelligence cell concept

    Will any one give me information about INTELLIGENCE CELL CONCEPT?PLEASE..........:???:
  4. A

    [Moved]Code for a controller to navigate a robo on a Uniform plane(using three points

    @tricky dicky.... thank you sir......after listening ur advivice ...i did.....but still have problems ...plz tell me what sud be next.....i have posted my concept along with my new code....please have a look on my concept & new code & give ur precious advice plzz Motion planning OUR CONCEPT...
  5. A

    Code for controller when plane is designed using three points

    YEAH the error is cause of '0' and '=' cause in vhdl '= ' oprator is not applicable befor defining it as a function. thanks for ur response:)
  6. A

    RFID tags

    yes....RFID replace bar code causes of its efficient features... like 1. Non line of sight communication unlike the bar code 2. Working on radio frequencies while bar code works on optical communication 3. Can be read upto several feet while bar code range is limited upto 1 or 2 feet.. 4.Can...
  7. A

    Code for controller when plane is designed using three points

    Hello everyone i am designing a code to nevigate a robot in a plane which is designed by three points. For that i have designed this code but having some error please help me. library IEEE; use IEEE.STD_LOGIC_1164.ALL; package controler is constant rng_row : integer:= 2; constant rng_clmn ...
  8. A

    [Moved]Code for a controller to navigate a robo on a Uniform plane(using three points

    Dear sir This is my code for providing motion to a robo on a uniform plane .designed using three points.I have only two errors please help me & rectify my errors.Errors & coding are given below...
  9. A

    VHDLCode for a controller to navigate a robo on a Uniform plane ( using three points

    Dear sir This is my code for providing motion to a robo on a uniform plane .designed using three points.I have only two errors please help me & rectify my errors.Errors & coding are given below...
  10. A

    help for simulating VHDL CODE for RFID...............

    thanx alot sir,,,,,,,,,,,,i have one more problem................how can i store some data at any address using this program./? - - - Updated - - - thanx alot sir,,,,,,,,,,,,i have one more problem................how can i store some data at any address using this program./?
  11. A

    help for simulating VHDL CODE for RFID...............

    HEY guies help me ...please remove errore from this code...........for RFID READER LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY RFID2 IS PORT ( SIGNAL data : IN std_logic_vector(7 DOWNTO 0); SIGNAL...

Part and Inventory Search

Back
Top