Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by 7mod998

  1. 7

    simulation problem vhdl

    how to run that command?
  2. 7

    simulation problem vhdl

    when i want to simulate it gives me a termination error and this is what i found in my log
  3. 7

    Shift register, I have troubles when creating components please help!

    Re: shift register , i have troubles when creating components please help! so what should i do ?:-(
  4. 7

    Shift register, I have troubles when creating components please help!

    shift register , i have troubles when creating components please help! mux code library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following...
  5. 7

    Im doing a 7-segment multiplexing code but I have an error and the bcd part is wrong

    im doing a 7-segment multiplexing code but i have error and bcd part is wrong library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_unsigned.ALL; use IEEE.STD_LOGIC_arith.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values...

Part and Inventory Search

Back
Top