Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by 20vt

  1. 2

    how to convert integer to bit_vector?

    so how I do it? if I remove this library it wont count
  2. 2

    how to convert integer to bit_vector?

    LIBRARY ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; use IEEE.numeric_std.all; entity conterone is port(d:in bit_vector(6 downto 0); count:out integer ) ; end conterone; architecture arc_conterone of conterone is signal x : unsigned (2 downto 0) ; begin...
  3. 2

    how to convert integer to bit_vector?

    I did a program that counts the ones on a number(6 length) like 011100 the out is 3 but I want the outpot to be in bit_victor (2 down to 0) and not integer if I input this num 011100 the output should be '011' and not 3
  4. 2

    need help to create eight demuxs

    I want to do a demux 8 to 1 like this one
  5. 2

    need help to create eight demuxs

    Yes thank you but its not working ill more time
  6. 2

    need help to create eight demuxs

    I im new to vhdl and need some help how to make eight demux 2 to 1 which share same Sel ? I did one demux 2 to 1 entity demux is port(d,s:in bit ; z0,z1: out bit ); end demux ; architecture arc_demux of demux is begin z0 <= d and (not s); z1 <= (d and s); end arc_demux ; how I can make...

Part and Inventory Search

Back
Top