Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx System Generator - Image

Status
Not open for further replies.

Ghostboy

Newbie level 4
Joined
Aug 7, 2009
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,325
xilinx system generator

Hi,

Can someone tell me how I can get an image through the "gateway in" of the xilinx system generator set?
I use the "image from file" block from Simulink but ofcourse it gives a matrix. So how can I convert it to a sysgen usable thing?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top