Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What Should be the Synthesis circuit

Status
Not open for further replies.

spartanthewarrior

Full Member level 2
Joined
Jun 13, 2007
Messages
122
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,142
Hi All,

can any body tell me that the bellow

//------------------------
always @ (posedge clk)
begin
a = 1'b1 ; (Blocking)
end
//------------------------

Will it generate a Wire or (Flip-Flop)
 

Flop. The process or always block which works on posedge or negedge of a clock takes flop.
Also in ur case a is always assigned to '1' the synthsizer can simply tie a to '1'.Why to unnecessarily use a FLOP? Right?
 

A constant assignment to a signal (without concurrent assignments to the target value at other places) will synthesize nothing.
Code:
always @ (posedge clk) 
begin 
a = b ; (Blocking) 
end
would synthesize a Flipflop. The difference between blocking and nonblocking assignment is only relevant for the evaluation of succeeding expressions with a in the same clock cycle.
 

It will synh to a D-FF wih input as a 1.even though u write blocking statement it wl synth by asuming it as a non blocking statement
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top