Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what means the following warning?

Status
Not open for further replies.

lzh08

Member level 2
Joined
May 28, 2004
Messages
45
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
565
Warning: Replaced VCC/GND feeding tri-state bus Data[0]~7 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[1]~6 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[2]~5 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[3]~4 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[4]~3 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[5]~2 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[6]~1 with an always-enabled tri-state buffer
Warning: Replaced VCC/GND feeding tri-state bus Data[7]~0 with an always-enabled tri-state buffer
 

can you poest youu code, please what device are using, what vendor???/


Regards,
 

use device ep1c12q240c8
library ieee;
use ieee.std_logic_1164.all;

ENTITY MCU IS
PORT
(
nDataStrobe : IN Std_Logic;
nAddrStrobe : IN Std_Logic;
nWri : IN Std_Logic;
nReset : IN Std_Logic;
Data : INOUT Std_Logic_Vector(7 DOWNTO 0);
nWait : OUT Std_Logic; (nWait)
nAck : OUT Std_Logic;

SysClk : in Std_Logic;
Reset : in Std_Logic
END MCU;

ARCHITECTURE Action OF MCU IS
TYPE State IS (Idle, DataRead, DataWrite, AddrRead, AddrWrite,
DataReadEnd, DataWriteEnd, AddrReadEnd, AddrWriteEnd);
SIGNAL Cur_State, Next_State:State := Idle;
SIGNAL RegDataTemp : std_logic_vector(7 downto 0);
SIGNAL RegAddrTemp : std_logic_vector(7 downto 0);

BEGIN
DataDeal : PROCESS(Cur_State, nDataStrobe, nWri, nAddrStrobe, Data,
RegDataTemp, RegAddrTemp)
begin
nWait <= '0';
Next_State <= Cur_State;
RegDataTemp <= (OTHERS => '0');
RegAddrTemp <= (OTHERS => '0');
Data <= (OTHERS => '0');
case Cur_State is
when Idle => nWait <= '0';
if ((nWri = '1') and (nDataStrobe = '0')) then
Next_State <= DataRead;
elsif ((nWri = '0') and (nDataStrobe = '0')) then
Next_State <= DataWrite;
elsif ((nWri = '1') and (nAddrStrobe = '0')) then
Next_State <= AddrRead;
elsif ((nWri = '0') and (nAddrStrobe = '0')) then
Next_State <= AddrWrite;
else
Next_State <= Idle;
end if;
when DataRead => Data <= RegDataTemp;
nWait <= '1';
Next_State <= DataReadEnd;
when DataWrite => RegDataTemp <= Data;
nWait <= '1';
Next_State <= DataWriteEnd;
when AddrRead => Data <= RegAddrTemp;
nWait <= '1';
Next_State <= AddrReadEnd;
when AddrWrite => RegAddrTemp <= Data;
nWait <= '1';
Next_State <= AddrWriteEnd;
when DataReadEnd => if (nDataStrobe = '1') then
nWait <= '0';
Next_State <= Idle;
else
Next_State <= DataReadEnd;
end if;
when AddrReadEnd => if (nAddrStrobe = '1') then
nWait <= '0';
Next_State <= Idle;
else
Next_State <= AddrReadEnd;
end if;
when DataWriteEnd => if ((nWri = '1') and (nDataStrobe = '1') ) then
nWait <= '0';
Next_State <= Idle;
else
Next_State <= DataWriteEnd;
end if;
when AddrWriteEnd => if ((nWri = '1') and (nAddrStrobe = '1') ) then
nWait <= '0';
Next_State <= Idle;
else
Next_State <= AddrWriteEnd;
end if;
when others => Next_State <= Idle;
end case;
end process;

Sync: process(Reset, SysClk) --检测系统时钟信号SysClk的上升沿
begin
if Reset = '0' then
Cur_State <= Idle;
elsif Rising_Edge(SysClk) then
Cur_State <= Next_State;
end if;
end process;
end Action;
 

if you want to write something from an external bus into the DATA vector you have to put it into three state, using some direction control signals that disables DATA outputs.
eg:
if (wr= active )then
data<="ZZZZZZZZ";
otherwise the DATA output buffers are always enabled and you get a conflict


bye
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top