Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Warning TIM-141 during synthesis (Design compiler)

Status
Not open for further replies.

stevenv07

Member level 2
Joined
Aug 11, 2020
Messages
43
Helped
0
Reputation
0
Reaction score
1
Trophy points
8
Activity points
404
Hello everyone,

When I synthesized my design using Synopsys Design Compiler, I found some warnings as follows:
Warning: Gated clock latch is not created for cell 'tx0/....' on pin 'B3' in the design 'tx_....' (TIM-141).

Could you show me how to solve this warning? and what is the reason?

Thanks so much~
Steven
 

type 'man TIM-141' in DC's terminal, it should give you a hint of what is going on.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top