Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL to block diagram help please

Status
Not open for further replies.

ZeroCool666

Newbie level 3
Joined
May 12, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
Hi for the first time,
I have a register that outputs 4 bits, I need to use those 4 bits as an address for a ROM memory implemented in VHDL, the project has to be done as a block diagram in Active-HDL. My problem is that I can't convert the 4 bits in an integer to use as the address. Can you please tell me a precise method to do this as I am pressed by time and can't do anymore research. I have searched the forum but didn't find what i was looking for. Thank you in advance. Good night.
 

You can use the following function to convert std_logic_vector to integer.

Code:
signal a : std_logic_vector(3 downto 0);
signal b : integer:=0;

b <= conv_integer(a);

Now you can use this integer to access the memory.

--vipin
https://vhdlguru.blogspot.com/[/code]
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top