Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog $time affectation appears wrong in Questasim

Status
Not open for further replies.

Johanexpleo

Newbie
Joined
Oct 28, 2020
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
15
Hi all,

I have an issue in my Questasim simulation using verilog MRAM model.
Timescale is define as `timescale 1ns/1ps

I try to observe an assigned time signal as follow; data_time <= $realtime; in Questasim.
At the 3 us, it appears as 3000 ps. Then the calculation made in the verilog model are wrong.

I tried to change the timescale to 1ps/1ps, the issue is solved BUT, my simulation is much too long.
I don't understand why the $time is not interpreted correctly in Questasim when using timescale 1ns/1ps.
When I add the line $display("%t", $realtime); in the code, the correct value is displayed in the transcript. (see attached image)

Why my data_time has a wrong unit during simulation?
Thanks for your help
 

Attachments

  • $time_error.png
    $time_error.png
    197.3 KB · Views: 95

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top