Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog-Delay not generate

Status
Not open for further replies.

Sam Cristtina

Junior Member level 1
Joined
May 13, 2012
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,380
Hi,I'm newbe in verilog using with spartan-xilinx, and want to gererates 2ns delay between two state(on or off) of i/o line.

always @ (posedge clk)
begin
temp = 1'b1;//output wire
#2; // delay. wait for 2ns
temp = 1'b0;//output wire
#2; // delay
end

This is generate delay in simulation. but when I check this output line on CRO,There is no delay. output line is continues zero.

Is there any way to generate delay without use of clk?
 

Is there any way to generate delay without use of clk?
I guess you'll find the answer in any text book about Verilog for logic synthesis and uncountable previous threads. Clearly no.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top