Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Using DPI-C sin function with ModelSim 10.1c

Status
Not open for further replies.

abdoo

Newbie level 5
Joined
Dec 18, 2015
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
61
Hi all,

I'm new in using DPI methodology to import C function with ModelSim

I'm writing a SystemVerilog code that generate sine wave it useid "sin" function that i had imported using :

import "DPI-C" pure function real sin (input real rTheta);

but i'm when simulating my code the tool did not found the "sin" function":

Failed to find user specified function 'log' in DPI precompiled library search list "C:/modeltech_10.1c/uvm-1.1b\win32\uvm_dpi.dll

may i need a C compiler to be intalled
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top