Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

The function of '>>>' and '<<<' operators in Verilog

Status
Not open for further replies.

sandy.vb

Newbie level 5
Joined
Mar 3, 2010
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
India
Activity points
1,339
hi

can somebody please tell the function of '>>>' and '<<<' operators in verilog? I'm aware of >> and << operators though, but i saw the above ones just today for the first time.

thanx in advance.

sandesh
 

Re: verilog operator

it is used for signed shifting in verilog 2001
 

Re: verilog operator

Verilog 2001 introduced two new operator that are of interest to designers.
* <<< : Shift left, to be used on signed data type
* >>> : shift right, to be used on signed data type
* ** : exponential power operator.
 

Re: verilog operator

koteswar said:
Verilog 2001 introduced two new operator that are of interest to designers.
* <<< : Shift left, to be used on signed data type
* >>> : shift right, to be used on signed data type
* ** : exponential power operator.


Thanks for ur valuable info...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top