Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

task $isunknown in systemverilog

Status
Not open for further replies.

vivek4m

Newbie level 5
Joined
Nov 12, 2007
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,333
Hi People,

I have a question regarding $isunknown task of systemverilog. Can we pass multi-bit arguments to this task or it accepts only single bit variables?
Is following a valid statement:

assert !($isunknown({clk, regA[15:0], data_in, shiftr_out, varB});

Thanks & Regards
Vivek
 

maybe it is valid,dont you ever verify it?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top