seeravi
Member level 1
Hi,
How to create constraints for 200 Mhz design.
1)for calculating input/output delay,clock_uncertainty,clock_latency there using some percentage(%) of clock(200Mhz),where this percentage we are getting.
2)and also for max_transition ,max_capacitance how to calculate.
create_clock -period _ ? [get_ports clock]
set_input_delay -max _? -clock clock [all_inputs]
set_input_delay -min _? -clock clock [all_inputs]
set_output_delay -max _? -clock clock [all_outputs]
set_output_delay -min _ ?-clock clock [all_outputs]
set_clock_uncertainty -setup _? [get_ports clock]
set_clock_uncertainty -hold _? [get_ports clock]
set_clock_latency _ ?clock
set_clock_latency -source _? clock
set_critical_range _ [current_design]
set_max_transition _? [current_design]
set_max_capacitance _? [current_design]
Regards,
Ravi.
How to create constraints for 200 Mhz design.
1)for calculating input/output delay,clock_uncertainty,clock_latency there using some percentage(%) of clock(200Mhz),where this percentage we are getting.
2)and also for max_transition ,max_capacitance how to calculate.
create_clock -period _ ? [get_ports clock]
set_input_delay -max _? -clock clock [all_inputs]
set_input_delay -min _? -clock clock [all_inputs]
set_output_delay -max _? -clock clock [all_outputs]
set_output_delay -min _ ?-clock clock [all_outputs]
set_clock_uncertainty -setup _? [get_ports clock]
set_clock_uncertainty -hold _? [get_ports clock]
set_clock_latency _ ?clock
set_clock_latency -source _? clock
set_critical_range _ [current_design]
set_max_transition _? [current_design]
set_max_capacitance _? [current_design]
Regards,
Ravi.