Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

synopsys urg coverage merge failed for Error-[UCAPI-ITN] Invalid test name

Status
Not open for further replies.

13764738159

Newbie
Joined
May 14, 2022
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
16
hi, Guys
i experience below error when i merge me simv.vdb. did anyone hit this issue before ? i generated coverage db and copyback whole test dir back. try to generated merged coverage db.

> urg -dir base_test_sanity/simv.vdb -dbname merged_cov
Note: Bumping stack limit from 8192 to 131072 Kbytes.
URG Version P-2019.06-SP2-5 Copyright (c) 1991-2019 by Synopsys Inc.
Bad Arguments:
Error-[UCAPI-ITN] Invalid test name
The test name argument 'base_test_sanity/simv/test' passed to
'covdb_load' is invalid. Unable to load the test.
Please provide a valid test-name.

Warning-[URG-LTF] Load test failed
Skipping test 'base_test_sanity/simv/test' as error occurred while
loading the test.
The test directory may have been corrupted. Please try regenerating it. If
the problem still persists, please contact vcs_support@synopsys.com for
information.


Error-[URG-NVAT] No test found
URG could not find any valid test.
Please use the -dir option to specify the test directories to report.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top