Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

spartan 3e lcd program help

Status
Not open for further replies.

hareshcooleng

Member level 1
Joined
Aug 6, 2010
Messages
36
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
ahmedabad
Activity points
1,480
hi all
i am working one project i have develop one code for counter when i give the input to the start high then counter will start and when down there input low then particular count output is given this output i display in LCD in spartan 3e kit so pls any idea about how to display bit in lcd.
 

I feel you can use few programs in the starter kit given along with your board. You have to convert the binary number into individual bit representation before displaying it on LCD.
 

thanks for given a replay
i have tried some lcd code it input is 8 bit so i will directly connect my output of counter to the input of lcd input but it not works.
you says that i convert the that 8 bit into decimal form so any code of lcd in verilog which help me
pls give the rply for that.
 

Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top