Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

set_max_delay vs. set_input/output_delay

Status
Not open for further replies.

eeStud

Member level 1
Joined
Feb 17, 2011
Messages
37
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,527
Hi,

Can you please explain the differences between the commands? and when should i use it?

Thanks.
 

Maximum delays will always have the source or destination as a register we can also set from port to port. Mostly we will represent this by -from and -to . In the sense reg to out, in to reg and also from port -to port etc..,
ex:- set_max_delay 10.0 -from [get_pins inst_dfe_bist_inst_dphy_bist_esc_rx_0/done_rx_esc_sync_reg/Q]-to [get_ports bist_err_rx_esc_sync]


input or output delays will have the source or destination as a port.

ex:-set_input_delay -clock [get_clocks bist_int_TxByteClkHS] -add_delay -max 2.5 [get_ports dln_loop_back]

If you want add some delay from reg to port u should use set_max_delay..

If needs to add only for that specific port we can use set_input_delay
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top