Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

set_input_delay dc vs pt

Status
Not open for further replies.

taepyeong

Newbie level 3
Joined
Feb 18, 2016
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
36
Hello I am synthesis chip.

When I use dc,
I used this constraint.

-------------------------
set_input_delay -min 1 [get_ports U_DIGITAL_DUALEDGE_TOP/I_RISE] -clock U_DIGITAL_DUALEDGE_TOP/I_CLK
--------------------------

but when I use thay constraint in primetime.
I got this message.

--------------------------
set_input_delay -min 1 [get_ports U_DIGITAL_DUALEDGE_TOP/I_RISE] -clock U_DIGITAL_DUALEDGE_TOP/I_CLK

Warning: No port objects matched 'U_DIGITAL_DUALEDGE_TOP/I_RISE' (SEL-004)

Error: Nothing matched for ports (SEL-005)
Error: Nothing matched for object_list (SEL-005)
--------------------------

Does it any reason?

In primetime I also set this clock.
even it gives some warning message but it works.

--------------------------
create_clock -period [expr $PERIOD_I_CLK * $clock_margin] [get_pins U_DIGITAL_DUALEDGE_TOP/I_CLK ]

Warning: Creating a clock on internal pin 'U_DIGITAL_DUALEDGE_TOP/I_CLK'. (UITE-130)

Warning: Creating 'clock' on a hierarchical pin 'U_DIGITAL_DUALEDGE_TOP/I_CLK'. (UITE-137)
1
--------------------------
 
Last edited by a moderator:

I used that constraint in DC.
And I extracted sdc from DC.

------------------------------------
set_input_delay -clock U_DIGITAL_DUALEDGE_TOP/I_CLK -min 1 [get_pins U_DIGITAL_DUALEDGE_TOP/I_RISE]
-------------------------------------

And it works at Primetime.

Anyone know?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top