Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Sample scripts for SOC Encounter, where can I find?

Status
Not open for further replies.

FrankCh

Member level 2
Joined
Jul 18, 2005
Messages
50
Helped
5
Reputation
10
Reaction score
0
Trophy points
1,286
Activity points
1,558
running tcl script for soc encounter

In design compiler, we could write a single script, either in tcl or sdc to
compile a design until netlist.

My new tool is SOC Encounter, I was only aware in SOC Enc I must use
the GUI to import RTL codes. My side uses Unix and the GUI has mistakes
which made RTL import impossible.

The Express mode command line, I was unable to find any reference
on SOC tcl specific commands, like RTL read, compile, etc.. I am stuck..

Which manual in Cadence has example tcl scripts? In my environment it
uses tcl and won't take SDC scripts.

Thanks in advance!
 

how to encounter on sample script

hello Frank

I may be wrong but did not understand the meaning of sdc scripts. may be u meant the synopsys design constraints file. all the tcl scripts have extension .tcl
so if i understand correctly ur trying to load a .sdc file which has only constraints, if u read the manual he will mention how can we include all the commands in a tcl script to run encounter.

Suresh
 

soc encounter manual script

research235 said:
hello Frank

I may be wrong but did not understand the meaning of sdc scripts. may be u meant the synopsys design constraints file. all the tcl scripts have extension .tcl
so if i understand correctly ur trying to load a .sdc file which has only constraints, if u read the manual he will mention how can we include all the commands in a tcl script to run encounter.

Suresh

Thank you and yes, sdc here refers synopsys constraints plus all the list of commands from reading RTL, target library, to reporting in dc_shell..


Yes, in Encounter menu one can source a .tcl file.. however not SDC file.
For example my sample scripts which worked the whole procedure in dc_shell-t seems to fail in Encounter. I suspect for commands like read_file, compile, etc, Encounter has its own set of identical commands, and I want to know which documentation shall I grab.. I can only lay my hand on EncounterMenu Reference, which deals with GUI, but GUI is tedious to me..

one reference (not just manual) document from Cadence to enable me to translate the following script will do.


Code:
remove_design -all
read_file -f verilog ../src/my_design.v

current_design my_design
link
uniquify

set_test_hold 1 scan_en_in
set_scan_configuration -style multiplexed_flip_flop -chain_count 1 -clock_mixing no_mix

create_clock -name "clk_in" -period 50 -waveform [list "0" "25"] [list "clk_in"]
set_drive 0 [list "clk_in"]
set_clock_uncertainty 0.500 [find clock "clk_in"]
set_clock_latency -source 1 [find clock "clk_in"]
set_dont_touch_network [find port clk_in]

current_design my_design
link
compile -area_effort medium

report_area
report_reference
report_cell
report_qor

btw, this is the ID I use in office..
:D
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top