Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Registering the inputs and output of a combinational block

Status
Not open for further replies.

dll_fpga

Full Member level 3
Joined
Mar 9, 2011
Messages
185
Helped
19
Reputation
38
Reaction score
21
Trophy points
1,298
Location
kerala,India
Activity points
2,416
Is it necessary to register the inputs and outputs of a combinational block?
If then why? can anyone please explain what will happen if they are
1)registered (for both inputs and outputs)
2)unregistered(for both inputs and outputs)
 

Some linting tools give the warning -"output port not registered". So is it necessary to register the combinational outputs?
 

can you please explain what will happen....other than those warnings?
Some linting tools give the warning -"output port not registered". So is it necessary to register the combinational outputs?
 

say if you have a valid signal that validate data going out from the module.
Due to combo delay you may end up in validating the wrong data.
check this thread for more info
why registered output?
 
Last edited:

so combinational outputs of FSM's also has to be registered?What about the internal combinational signals?(internal to the module)
say if you have a valid signal that validate data going out from the module.
Due to combo delay you may end up in validating the wrong data.
check this thread for more info
why registered output?
 
Last edited:

Registering inputs and outputs is necessary if you want to do timing analysis (Static timing analysis). I mean how will static timing analysis tool work if there is no register boundary?

Only registering inputs and outputs of a module are good enough, you don't need to register combinational logic inside a module.

I hope it helps.
 

But in case of pipelined circuits they are registering the internal signals rite?
Registering inputs and outputs is necessary if you want to do timing analysis (Static timing analysis). I mean how will static timing analysis tool work if there is no register boundary?

Only registering inputs and outputs of a module are good enough, you don't need to register combinational logic inside a module.

I hope it helps.
 

Yes in case of pipelining, you need to save the state of the circuit. So you need registers. You are quite right.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top