Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Regarding serial port connection using virtual terminal

Status
Not open for further replies.

mahesh.pawaskar

Newbie level 4
Joined
Apr 5, 2010
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Ratnagiri
Activity points
1,323
I am using proteus software. And i have written a code to send 8 bit parallel data serially (89C51). To observe data i have use virtual terminal. I am observing hex data on the virtual terminal. But Data whatever i am sending is not matched with received data. I am getting wrong data of 1st four bit.
this is my code. ( I am giving data at port-0 and virtual terminal is connected with TX pin of controller)

ORG 0000h
MOV TMOD,#20H
MOV TH1, #0FDH
MOV SCON, #50H
SETB TR1
NEXT: MOV A, P0
MOV SBUF, A
TRANS: JNB TI, TRANS
CLR TI
SJMP NEXT
END
 

serial port obeys rs232 protocol for which u have first bit start bit and data and parity and stop bits
send data keeping rs232 in mind and it ll solve ur problem
 

I am using proteus software. And i have written a code to send 8 bit parallel data serially (89C51). To observe data i have use virtual terminal. I am observing hex data on the virtual terminal. But Data whatever i am sending is not matched with received data. I am getting wrong data of 1st four bit.
this is my code. ( I am giving data at port-0 and virtual terminal is connected with TX pin of controller)

ORG 0000h
MOV TMOD,#20H
MOV TH1, #0FDH
MOV SCON, #50H
SETB TR1
NEXT: MOV A, P0
MOV SBUF, A
TRANS: JNB TI, TRANS
CLR TI
SJMP NEXT
END

I have change frequency of oscillator, and we could get desire functanality
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top