Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

rate mismatch between input data to output data

Status
Not open for further replies.

win2010

Member level 1
Joined
Sep 30, 2010
Messages
35
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,605
Hello,

I need to send 32208 bits of serial data within the 32128 clock per bit of input in VHDL.....

How to do this rate change between input and output...?

output has more data than input....
 

What? You want to send more data than you have? This violates the physical law of Conservation of Data.

I think you need to either restate your question or rethink your problem.
 

by taking 32128 bits of data i need to send 32208 bits of data...
Means, my logic generates extra 80 bits for input and send to next module...
32208 = 32128 + 80 bits of header
 

Without knowing more specifics of your system, the obvious way to handle this is to use a fifo. The way I would do it is to read in 8-bit chunks, write them to a fifo. You don't specify data rates, burst rate or anything else, so it's impossible to give any more information.
 

Without knowing more specifics of your system, the obvious way to handle this is to use a fifo. The way I would do it is to read in 8-bit chunks, write them to a fifo. You don't specify data rates, burst rate or anything else, so it's impossible to give any more information.

More specifics can be found in the other thread that the OP started...
https://www.edaboard.com/threads/311752/
...apparently he did not like either of the proposed solutions in that thread.

KJ

- - - Updated - - -

Hello,

I need to send 32208 bits of serial data within the 32128 clock per bit of input in VHDL.....

How to do this rate change between input and output...?

output has more data than input....

One solution is a dual clock fifo where the output clock is running at least 0.25% faster than the input clock.

KJ
 

I gave the OP more than one option, which should have been enough to get them started down the path to success.

What's that saying about the horse and water... ;-)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top