Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

RAM initialization file in Modelsim

Status
Not open for further replies.

soloist_huaxin

Newbie level 3
Joined
Apr 29, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,305
Hi all,

I'm currently building sth that uses a pre-defined RAM. I use altsyncram IP along with .hex file as initialization since Modelsim doesn't support .mif files. When I'm simulating it in Modelsim, I got warnings like "failed to open file 'mem_data.hex' for reading. No such file or directory." I put the .hex file in the same directory with the memory IP verilog file generated by Megacore. According to this place, **broken link removed**, I got the convert_hex2ver.dll and put it in both ${Quartus_path}/eda/mentor/modelsim/ and ${Modelsim_path}/win32aloem/. Seems like Modelsim can find the dll file but failed to find the hex file. What should I do here? Any suggestion is welcome

I'm using Quartus II 9.1 version with Modelsim_Altera Starter edition 6.5b.
 

Hi,

1. Modelsim expects the hex file in the current directory, where it is started. Type "pwd" in the Modelsim transcript window to find this current directory and move the hex-file to this.
2. You can change the HDL code and specify an absolute path to the hex-file

Devas
 

Problem solved - It's because of the directory issue. HDL code is provided by Altera therefore I don't really want to change it. But since I run modelsim under windows, I'd rather write a tcl script to do this. Thanks a lot for the help.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top