Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with initiating the LUT primitives

Status
Not open for further replies.

lahrach

Full Member level 3
Joined
Feb 6, 2009
Messages
170
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,285
Hi friends,

I use LUT6
----------------------
M_2_U1 : LUT6
-- synthesis translate_off
generic map (INIT => X"ABCDEEFF0000DD25")
-- synthesis translate_on
port map (O => out_1,
I0 => in_0,
I1 => in_1,
I2 => in_2,
I3 => in_3,
I4 => in_4,
I5 => in_5);
___________________

but in shematic view technology i get INIT=0000000000000000

regards
 

the -- synthesis translate_off/translate_on comments make the synthesisor ignore the code between the on/off. So it is being synthesised with the default INIT value, which I assume is "0000.."
 

for the view RTL schematic it's ok but for the view technology shematic it's not
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top