Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem in running IC610 (CADENCE)

Status
Not open for further replies.

VINAY_RAO

Junior Member level 2
Joined
Jul 24, 2009
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
IITG
Activity points
1,510
Hi,
Recently i installed IC610 (cadence),but while running "icfb" i am getting many errors.When i give the comand "icfb" ,CIW opens but in between it ask for :"(delicense-7) couldnt get a license for schematics L.would you like to try to get a higherr tiered license to run this product?....(yes)..(no)..(always)..(never).."
By clicking yes,we wil get error..

The following appears in CIW and get aborted.

COPYRIGHT © 1992-2006 CADENCE DESIGN SYSTEMS INC. ALL RIGHTS RESERVED.
© 1992-2006 UNIX SYSTEMS Laboratories INC.,
Reproduced with permission.
This Cadence Design Systems program and online documentation are
proprietary/confidential information and may be disclosed/used only
as authorized in a license agreement controlling such use and disclosure.
RESTRICTED RIGHTS NOTICE (SHORT FORM)
Use/reproduction/disclosure is subject to restriction
set forth at FAR 1252.227-19 or its equivalent.
Program: @(#)$CDS: virtuoso.exe version 6.1.0 10/10/2006 14:09 (cds126047) $
Sub version: sub-version IC6.1.0.243 (32-bit addresses)
Loading geView.cxt
Loading LVS.cxt
Loading layerProc.cxt
Loading xlUI.cxt
Loading auCore.cxt
Loading schView.cxt
Loading selectSv.cxt
Loading vhdl.cxt
Loading seismic.cxt
Loading ams.cxt
Loading default bindkeys
Loading NCSU CDK 1.5.1 customizations...
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool adle
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool asimenv
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool ddserv
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool layout
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool schematic
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool ui
*WARNING* Cannot find /cad/cadence/ic6/tools.lnx86/dfII/etc/tools/cdsSpice directory to load environment variables
*WARNING* envSetVal: could not find tool[.partition] 'layoutOptimize.state'
loading vars from /home/vinaymm/cad_work/cadence_design/ncsu-cdk-1.5.1/cdssetup/cdsenv for tool layoutOptimize
loading vars from ~/.cdsenv for tool layoutOptimize
*WARNING* envSetVal: could not find tool[.partition] 'layoutOptimize.state'
loading vars from ~/.cdsenv for tool asimenv
*WARNING* (icLic-3) Could not get license Virtuoso_Schematic_Editor_L
*WARNING* (icLic-3) Could not get license Virtuoso_Schematic_Editor_L
(("schematic" nil)
("symbol" "schDisplaySymbolTemplateForm")
("abel" nil)
)
("functional" "behavioral" "system" "abel" "entity.vhdl"
"behavior.vhdl" "dataflow.vhdl" "structure.vhdl" "mixed.vhdl"
)
(("freeform"
((0 0)
(0 0)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("small"
((0.0 0.0)
(1.0 1.0)
)
(("[@instanceName]" "instance label" 0.075 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.075 "stick" "NLPLabel")
)
)
("medium"
((0.0 0.0)
(1.5 1.5)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("large"
((0.0 0.0)
(2.0 2.0)
)
(("[@instanceName]" "instance label" 0.125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.125 "stick" "NLPLabel")
)
)
("2 by 1"
((0.0 0.0)
(1.5 0.75)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("1 by 2"
((0.0 0.0)
(0.75 1.5)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("alu"
((0.625 0.0)
(1.375 0.0)
(2.0 0.75)
(1.375 0.75)
(1.0 0.375)
(0.625 0.75)
(0.0 0.75)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("mux4"
((0.0 0.0)
(0.0 1.5)
(0.5 1.25)
(0.5 0.25)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
("mux8"
((0.0 0.0)
(0.0 3.0)
(0.75 2.5)
(0.75 0.5)
)
(("[@instanceName]" "instance label" 0.08125 "stick" "NLPLabel")
("[@cellName]" "logical label" 0.08125 "stick" "NLPLabel")
)
)
)
("block"
("left" "input")
("right" "output")
("bottom" "inputOutput")
("top" "inputOutput")
)
(("Normal"
("floatingNets" "warning")
("floatingInput" "warning")
("floatingOutput" "ignored")
("floatingIO" "warning")
("floatingSwitch" "warning")
("shortedOutputs" "warning")
("unconnectedWires" "ignored")
("solderOnCrossover" "warning")
("instOverlap" "ignored")
("instOverlapValue" 0)
("maxLabelOffset" "ignored")
("maxLabelOffsetUU" 0.0)
("nameCollision" "warning")
("verilogSyntax" "ignored")
("VHDLSyntax" "ignored")
("instNameSyntax" "ignored")
("termNameSyntax" "ignored")
("netNameSyntax" "ignored")
)
("Logical Only"
("floatingNets" "warning")
("floatingInput" "warning")
("floatingOutput" "ignored")
("floatingIO" "warning")
("floatingSwitch" "warning")
("shortedOutputs" "warning")
("unconnectedWires" "ignored")
("solderOnCrossover" "ignored")
("instOverlap" "ignored")
("maxLabelOffset" "ignored")
("nameCollision" "ignored")
("verilogSyntax" "ignored")
("VHDLSyntax" "ignored")
("instNameSyntax" "ignored")
("termNameSyntax" "ignored")
("netNameSyntax" "ignored")
)
("Physical Only"
("floatingNets" "ignored")
("floatingInput" "ignored")
("floatingOutput" "ignored")
("floatingIO" "ignored")
("floatingSwitch" "ignored")
("shortedOutputs" "ignored")
("unconnectedWires" "warning")
("solderOnCrossover" "warning")
("instOverlap" "ignored")
("instOverlapValue" 0)
("maxLabelOffset" "ignored")
("maxLabelOffsetUU" 0.0)
("nameCollision" "ignored")
("verilogSyntax" "ignored")
("VHDLSyntax" "ignored")
("verilogSyntax" "ignored")
("instNameSyntax" "ignored")
("termNameSyntax" "ignored")
("netNameSyntax" "ignored")
)
("VHDL"
("nameCollision" "warning")
("verilogSyntax" "ignored")
("VHDLSyntax" "warning")
)
("Verilog"
("nameCollision" "warning")
("verilogSyntax" "warning")
("VHDLSyntax" "ignored")
)
)
(("schematic"
(("instName" "name"
(("objType" "inst")
("purpose" "cell")
)
)
("netName" "name"
(("objType" "net"))
)
("pinName" "name"
(("objType" "term"))
)
("master" "master")
("libName" "libName")
("cellName" "cellName")
("fontStyle" "font")
("fontHeight" "height")
("orient" "orient")
("partName" "partName")
("phyPartName" "phyPartName")
("power" "power")
("refDes" "refDes")
("technology" "technology")
("simMonitor" "schSimSignalName"
(("objType" "inst"))
)
)
)
("schematicSymbol"
(("pinName" "name"
(("objType" "net"))
)
("fontStyle" "font")
("fontHeight" "height")
("orient" "orient")
("layer" "layerName")
)
)
)
(("<state>"
("basic" "simState" "symbol")
)
("<time>:<state>"
("basic" "simState" "symbol")
)
("<name>=<state>"
("basic" "simState" "symbol")
)
("<name>=<time>:<state>"
("basic" "simState" "symbol")
)
)
("ta" "silos" "hspice" "shilo" "package"
".moduleInfo" "pcb" "verilog" "lai_verilog" "lmsi_verilog"
)
t
t
t
""
"lpr"
nil
nil
("reg" "time" "integer" "real" "expression")
nil
"query"
(("actHi"
("input" nil)
("output" nil)
("inputOutput" nil)
("switch" nil)
)
("actLo"
("input"
("basic" "tsgActLo" "symbol")
)
("output"
("basic" "tsgActLo" "symbol")
)
("inputOutput"
("basic" "tsgActLo" "symbol")
)
("switch"
("basic" "tsgActLo" "symbol")
)
)
("ieeeActLo"
("input"
("basic" "tsgIeeeActLoInp" "symbol")
)
("output"
("basic" "tsgIeeeActLoOut" "symbol")
)
("inputOutput"
("basic" "tsgIeeeActLoOut" "symbol")
)
("switch"
("basic" "tsgIeeeActLoOut" "symbol")
)
)
("clock"
("input"
("basic" "tsgClock" "symbol")
)
("output"
("basic" "tsgClock" "symbol")
)
("inputOutput"
("basic" "tsgClock" "symbol")
)
)
("actLoClock"
("input"
("basic" "tsgActLoClock" "symbol")
)
("output"
("basic" "tsgActLoClock" "symbol")
)
("inputOutput"
("basic" "tsgActLoClock" "symbol")
)
)
)
(("square"
("input"
("basic" "sympin" "symbolNN")
)
("output"
("basic" "sympin" "symbolNN")
)
("inputOutput"
("basic" "sympin" "symbolNN")
)
("switch"
("basic" "sympin" "symbolNN")
)
)
("circle"
("input"
("basic" "circle" "symbol")
)
("output"
("basic" "circle" "symbol")
)
("inputOutput"
("basic" "circle" "symbol")
)
("switch"
("basic" "circle" "symbol")
)
)
("block"
("input"
("basic" "blockipin" "symbol")
)
("output"
("basic" "blockopin" "symbol")
)
("inputOutput"
("basic" "blockiopin" "symbol")
)
("switch"
("basic" "blockiopin" "symbol")
)
)
)
"/cad/cadence/ic6/tools.lnx86/dfII/samples/symbolGen/default.tsg"
*WARNING* (icLic-3) Could not get license Virtuoso_Schematic_Editor_XL
Loading awv.cxt
*WARNING* There were no system .cdsplotinit files found.
*WARNING* There were no system .cdsplotinit files found.
Loading NCSU SKILL routines...
Loading oasis.cxt
ERROR (ADE-5066): Tool 'spectreS' has not been registered.
ERROR (ADE-5067): Unable to initialize tool 'spectreS'; either tool class is not
defined or tool is not registered.
*Error* The default SKILL generic function has not been defined for the function "asiEnvGetVar". Ensure that this function is called with the correct argument(s) (tool partition name).


How can i solve this problem...pl reply soon..
Thank you,
Regards,
VINAY RAO.
 

The cadence virstuso IC 6.1 comes with 3 license options L (basic), XL ( a kind of medium version) and GXL ( all options available).
Whenever u r running the software by default it will search for L licence... Since it doesnt find it it looks for higher licences...

Check whether ur licenses are installed properly.. check the cshrc file...

Also try "fb" instead of "icfb"
 

I get that warning/error too, but it just searches for a higher tiered license and uses it, nothing to worry about I guess, you can also tell it not to bother you again and automatically try to use a higher tiered license anyway.

For IC6 you should call it as virtuoso not icfb (or anything else that you used to call IC5), there is a warning about that when you call it with icfb, I guess that later releases will not have the link icfb->virtuoso so better start getting used to call virtuoso :)
 

as long as you have a higher tier license you shouldn't be getting this error. try adding this to your .cdsenv and see if it still asks each time to go for higher tier license:

designEditor.license appsWhichAlwaysTryHigherTieredLicense string "ADE L,Layout L,Schematics L,"

as R00KIE mentioned, use virtuoso to start ic6x
 

i used virtuoso only,,and i run with only .cshrc file where i wasnt having any .cdenv or .cdinit file.Even after running,in current working directory its nt storing default .cdenv files,even i checked whether it is hidden,but its not hidden..
how can i check in .cdenv file??
 

Hi Vinay,
I have run the license first before open 'icfb&' ? follow this step.

1. type 'lmli' in terminal , then
2. type 'icfb' in terminal

see you get the same error?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top