Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem generating VCD file about a part of a design

Status
Not open for further replies.

always84

Member level 1
Joined
Feb 24, 2010
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
italy
Activity points
1,567
Hello i'm making synthesis of a part of my design, i want to record the switching activity only of this part of design, than generate a saif file from VCD generated in modelsim. My problem is, how can I do to record the switching activity in the VCD file, for only a part of my design?

"a part of my design" means that I want to recor the switching activity for some instances not for all of them.
 

always84 said:
Hello i'm making synthesis of a part of my design, i want to record the switching activity only of this part of design, than generate a saif file from VCD generated in modelsim. My problem is, how can I do to record the switching activity in the VCD file, for only a part of my design?

"a part of my design" means that I want to recor the switching activity for some instances not for all of them.

Use

Code:
  initial begin : dump_parts
    $dumpvars (1, top.my_dut.blk_I_want_to_dump_1);
  end : dump_parts

HTH
TeamCVC
www.cvcblr.com/blog
 

Thanks for you reply, I've solved my problem with a script that simply put vcd add commands sequentially, one command for one subdesign that I want to record switching activity. I didn't know that i can put vcd add command more than one time, so I can add switching activity for all the modules that I like to control, and all the information is in a file that i create with vcd file command.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top