Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

order of .Synopsys_dcsetup files

Status
Not open for further replies.

asic_learner

Newbie level 5
Joined
May 8, 2012
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,383
Hi,

In what order are .Synopsys_dcsetup files picked up and why?
 

1) Synopsys root directory
2) your home directory
3) current working directory

reasons for the order should be obvious....
 

The below is assuming that dc has been setup properly. And dc_shell is available in the env.

# Define if it is topo based synthesis
set topo false

# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Suppress known and/or annoying messages

# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Load useful "functions" or procedures, like "view"
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
#source ../ref/tools/procs.tcl

# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# General useful settings
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Disable more-like page mode
set_app_var enable_page_mode false
# Don't want to see CMD-041 when creating new variables
set_app_var sh_new_variable_message false
# Increase history buffer from 20 commands to 100
history keep 100


# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Enable logging of commands and everything by date/shell
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
set timestamp [clock format [clock scan now] -format "%Y_%m_%d_%H_%M"]
set sh_output_log_file "${synopsys_program_name}.log.[pid].$timestamp"
set sh_command_log_file "${synopsys_program_name}.cmd.[pid].$timestamp"

# - - - - - - - - - - - - -
# Create folders
# - - - - - - - - - - - - -
set REPORTS_DIR "reports_11_17"
set RESULTS_DIR "results_11_17"
set WORK_DIR "work_11_17"

file mkdir ${REPORTS_DIR}
file mkdir ${RESULTS_DIR}
file mkdir ${WORK_DIR}


# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Aliases
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
alias v view
alias rt "report_timing -nosplit"
alias rtm "report_timing -nosplit -delay min"
alias rc "report_constraint -all_violators -nosplit"
alias rq report_qor
alias h history
alias _ measure_time


# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# Logic Library settings
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
lappend search_path ./src/rtl ./src/cons ~root/ts65njksdsta01/liberty/logic_synth ./results
set_app_var target_library "ts65njksdst_ss.db"
set_app_var link_library "* ts65njksdst_ss.db ts65njksdst_ff.db"
set_min_library ts65njksdst_ss.db -min_version ts65njksdst_ff.db

# Define the power and ground nets


# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
# RISC_CHIP setup variables
# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
set my_mw_lib a_digital
set mw_path "./src/mw"
set tech_file "~root/ts65njksdsta01/milkyway/tf/ts65njksdst_m06f0f1.tf"
set tlup_map "~root/ts65njksdsta01/tlup/ts65njksdsta01_tlu.map"
set tlup_max "~root/ts65njksdsta01/tlup/cln55g+_1p09m+alrdl_cworst.TLUPlus"
set tlup_min "~root/ts65njksdsta01/tlup/cln55g+_1p09m+alrdl_cbest.TLUPlus"
set top_design "a_digital_top"
set cons_rtl "./constraints/digital_top_constraints.sdc"
set verilog_rtl "./src/rtl/a_1_digital_top.v"
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top