Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need help on programing an FSM on Spartan 3E 1600

Status
Not open for further replies.

csimuleac

Newbie level 3
Joined
Jul 3, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,303
Hi, i`m trying to crate an FSM on a Spartan 3E-1600, an so far I didn`t do nothing. I`ve found some codes on internet but most of all are wrong. In my last reserches i`ve found an examples describing an FSM but dont know what its doing, i`m using Xilinx ISE 11 and the HDL is Verilog. I`m still a beginer with FPGA`s and i have a project in few months and want to create something. If anyone knows someting about this program please post an answear, it will be nice to hear from you guys. And i`m needing an .UCF file cuz i dont know how to crate it.



P.S. I`m sorry for my bad english, hope my mistakes in text were few and you could understand what i want.
 

Hi, I also have spartan 3e-1600 development kit, I want to know is there any book which is particularly written for vhdl code examples for this kit.

Added after 32 minutes:

In order to correctly implement any design on fpga kit you must follow proper procedure (i.e first go through the user guide of that kit).User constraints file contain many important constraints of the fpga design for e.g;
pin assignment
voltage level of I/O pins(i.e LV CMOS,TTL)
timing considerations any many more things,

Knowledge of PIN assignment, clock resources etc can be known from the user guide.If you are a beginner in this field then these books:
1). FPGA Prototyping by VHDL Examples(this book is particluarly for spartan 3 board)
2). Circuit Design with VHDL Volnei A. Pedroni
are very good books for you, but they use VHDL language not verilog.


Regards,
Zafir Hafeez:D
 

    csimuleac

    Points: 2
    Helpful Answer Positive Rating
Thank you for your replay. Well I want to know to what that codes do, what they suppose to do. Again many thanks for your replay.
 

You have to create UCF file to actually "tell fpga that, what pins of it are using for Input/Output, what would be the logic level for high or low signal (i.e for e.g; Low Voltage TTL or normal TTL, CMOS level etc), what clock resource you are using either from external oscillator or builtin 50 MHz crystal" etc,
an example of UCF file is:

NET "SW<0>" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP ;
NET "SW<1>" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP ;
NET "SW<2>" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP ;
NET "SW<3>" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP ;

then SW<0> is the name of the I/O pin 'L13' of FPGA which you would use in the verilog or vhdl code to address this pin(means that when you have to take input or send output to this pin you would use SW<0> name)
to get the information of which pin of fpga is connected to which peripheral you have to read the user manual of your kit.(UCF files for all pins is given in user manual, you just have to copy only those pins which you are using in your design) There are may be any other method of creating ucf file but purpose of all ucf files are same.Hope this gives you some idea.I have attached the manual of your kit, if you go through this manual you will fing ucf files for all pin at page number 163.

Regards,
Zafir Hafeez.
 

    csimuleac

    Points: 2
    Helpful Answer Positive Rating
Thank you again for your post. Well i`ve tryed to implement an UCF file for this code and i`ve declared my ouputs ports on LEDs and inputs on buttons, clk on the on-board clock and reset on a button. So far worked something, 3 led`s lighted up, was kind nice to see that worked. So far i dont know what that cod does and dont know what to expect from him. hehe i`m a newbie at verilog.

Thanks again.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top