Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncverilog command for mix mode simluation

Status
Not open for further replies.

Peter Chang

Junior Member level 2
Joined
Oct 5, 2006
Messages
21
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Location
TAIWAN
Activity points
1,419
Hi,

Are there any knowing how to run mixed mode co-sim on ncverilog?
The command I'm using for the ncverilog is as below.


ncverilog -f ./design.vc -l ./log/design_top.log \
+loadpli1=./nc_loadpli1/debpli.so:debpli_boot \
+pulse_r/0 +pulse_e/0 +transport_path_delays \
+ncstatus \
+access+rw \
+loadvpi="libvpihsim".so:nsda_vpi_startup +nsda +"cosim.cfg" \
+access+rwc

design.vc is the file list.

Thanks!


Peter Chang
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top