Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncsim dump fsdb problem - help needed

Status
Not open for further replies.

bradyue

Member level 2
Joined
Jan 18, 2008
Messages
47
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,603
ncsim dump fsdb problem

when I add
//////////////////////////////////////////////////////////////
initial begin
$fsdbDumpfile("./cosim.fsdb");
$fsdbDumpvars(0, top);
$fsdbDumpon;
#Length
$fsdbDumpoff;
$display("cosim success");
$finish;
end
//////////////////////////////////////////////////////////////
$fsdbDumpfile("./cosim.fsdb");
|
ncsim: *E,MSSYSTF (./top_cosim.src,41|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpvars(0, top);
|
ncsim: *E,MSSYSTF (./top_cosim.src,42|16): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpon;
|
ncsim: *E,MSSYSTF (./top_cosim.src,43|14): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.
$fsdbDumpoff;
|
ncsim: *E,MSSYSTF (./top_cosim.src,45|15): User Defined system task or function registered during elaboration and used within the simulation has not been registered during simulation.

Is there anybody could help me??
thanks a lot
 

Hi,

You didn't link PLI properly ... try -loadpli

setenv LD_LIBRARY_PATH $Novas_HOME/share/PLI/ius8.2_vhpi/Linux/boot

Ex:-

ncverilog -loadpli1 debpli:novas_pli_boot +access+rw -f run.f


Jony
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top