Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ModelSim 'work' library error on using ise

Status
Not open for further replies.

jsg1227

Newbie level 2
Joined
Dec 7, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
South Korea
Activity points
1,303
Hi,
I'm trying to simulate on ModelSim PE Student Edition 6.6d
from Xilinx ISE 10.1.03.

When I run the simulation in ISE,
ModelSim starts but stops very soon showing the following message :

# vsim -do \{do \{test_tb.fdo\}\}
# ** Error: (vsim-19) Failed to access library 'work' at "work".
# No such file or directory. (errno = ENOENT)
# Error loading design

I think ISE doesn't create 'work' library for some reason.
I have the same error for a very simple Verilog code and TB.
FYI, modelsim.ini file and license are normal,
and I reinstalled first ModelSim and then ISE to see if it's some kinda data defect.

Do you think it is because it's on student edition and uncorrectable?

Someone please help me~

Thanks in advance:smile:
 

You can just learn how to use the modelsim to create the project.
 

You need to add the work Library. then "Compile HDL simulation Libraries".
 

Thank you all for helping this problem.

Actually I aim for a design with some scale, maybe ~10,000 slices, and some IP cores will be utilized.
So I am a little reluctant to directly build it in ModelSim, which has limit on the design due to the version.
(Before this error, I could simulate a large design on the ModelSim even though it was student version.)

But maybe I have to try direct ModelSim simulation if there's no way that works.

I tried "vlib work" and got another error message :

# vsim -do \{do \{test_tb.fdo\}\}
# ** Error: (vsim-3170) Could not find 'C:\...\TEST2\work.{test_tb.fdo}}'.
# Error loading design

I tested copying test_tb.fdo into the newly generated 'work' directory by the command but still had the same message(3170).


Thank you again for concerning about this.
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top