Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

methods for dividing the clock in fpga

Status
Not open for further replies.

fpga_quest

Newbie level 4
Joined
Sep 24, 2012
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,316
I am new to fpga based hardware designing,
I having one requirement,i have one 200 Mhz clock,I generate different frequencies close to 200Mhz.i wish to do the same with clock division,but if feel it is not possible to generate,is there any other way to generate these frequencies without the use of DCM.I welcome all suggestions....please help
 

dear DCM is best module for division. it can divide in points also.
otherwise using counters in always blocks, you can divide your clock.
 

thankx for the comments...problem I am facing is..when u divide a frequency there will be a fractional part,so how to compensate that fractional part in counter division..!!!i dont know how to implement the fractional counter...can i get any sort of good reference..!!!
 

the counter is just an integer. you cannot count in fractions of a bit.
 

thankx for the comments...problem I am facing is..when u divide a frequency there will be a fractional part,so how to compensate that fractional part in counter division..!!!i dont know how to implement the fractional counter...can i get any sort of good reference..!!!

You can do something similar to what a fractional-N PLL / synthesizer does. You divide by N, and sometimes you divide by N+1. And the ratio of N vs N+1 division determines what fraction you get. Now keep in mind that this does come at the price of jitter.

Anyways, if you want to go that route, google around on "fractional-N pll" and "fractional-N synthesizer". Gets you plenty of reading material.

For example https://www.ti.com/lit/an/swra029/swra029.pdf page 31...

Other than that, if you do not have a reeeeaaaally good reason for doing this (most people that have to ask this sort of question don't :p ) then you are better of using the DMC or PLL resources in the fpga.
 

thanks for ur comment....!!!,I cannot be done iN FPGA..best method i think is counter or dcm..!!!thank u all for all ur valuable comments
 

Wut? Fractional-N can be done in fpga just fine... But as you say, best use a simple DCM to take care of this. :)
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top