Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

in need of ieee_proposed library

Status
Not open for further replies.

vivo_m

Member level 3
Joined
May 15, 2011
Messages
54
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,903
Hiii..

i urgently need the latest version of ieee_proposed library
i downloaded it from **broken link removed** but last update is 2010..

any help to get the latest version please

thanks alot..
 

that is the latest version.
Actually, the latest version is is part of the VHDL 2008 standard, so wont change until the next version of VHDL

Why do you think theres a newer version?
 

i thought of the existence of a newer version as whenever i synthesis any module using ieee_proposed i got these warnings

WARNING:HDLCompiler:746 - "E:\Masters\VHDL_Code\libs\ieee_proposed pkg\fixed_pkg_c.vhdl" Line 1470: Range is empty (null range)
WARNING:HDLCompiler:746 - "E:\Masters\VHDL_Code\libs\ieee_proposed pkg\fixed_pkg_c.vhdl" Line 1471: Range is empty (null range)
WARNING:HDLCompiler:746 - "E:\Masters\VHDL_Code\libs\ieee_proposed pkg\fixed_pkg_c.vhdl" Line 1472: Range is empty (null range)


and this is as long as i'm working with Virtex-6
using any other family like Virtex-5 beside the previous warnings, i got alot of error messages

ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2038. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2057. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2072. Attribute instance_name cannot be used here.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2279. Wrong index type for to_stdlogicvector.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2286. Wrong index type for to_stdlogicvector.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2300. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2325. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2551. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2592. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 2694. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3194. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3209. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3224. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3239. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3254. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3269. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3291. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3306. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3321. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3336. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3351. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3366. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3685. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3706. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3727. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3748. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3769. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3790. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3811. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3832. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3853. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3874. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3895. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3916. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3936. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3949. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3967. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3973. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 3995. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4001. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4023. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4029. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4051. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4057. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4079. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4085. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4107. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4113. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4135. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4141. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4163. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4169. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4191. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4197. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4219. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4225. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4247. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4253. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4275. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4281. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4382. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4435. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4480. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4487. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4535. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4836. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4858. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 4999. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 5030. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 5057. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 5087. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 5111. Attribute instance_name cannot be used here.
ERROR:HDLParsers:1303 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 5127. Attribute instance_name cannot be used here.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8300. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8299. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8299. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8299. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8299. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8301. Formal port left_index does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8299. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8311. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8310. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8310. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8310. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8310. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8310. Formal arg of to_ufixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8312. Formal port size_res does not exist in to_ufixed.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8322. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8321. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8321. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8321. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8321. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8323. Formal port left_index does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8321. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8333. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8332. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8332. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8332. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8332. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8332. Formal arg of to_sfixed with no default value must be associated with an actual value.
ERROR:HDLParsers:850 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8334. Formal port size_res does not exist in to_sfixed.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8345. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8344. Formal arg of to_UFix with no default value must be associated with an actual value.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8344. Formal arg of to_UFix with no default value must be associated with an actual value.
ERROR:HDLParsers:821 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8358. Wrong index type for to_stdulogicvector.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8357. Formal arg of to_SFix with no default value must be associated with an actual value.
ERROR:HDLParsers:851 - "E:/Masters/VHDL_Code/libs/ieee_proposed pkg/fixed_pkg_c.vhdl" Line 8357. Formal arg of to_SFix with no default value must be associated with an actual value.

searching here and there i found someone that is talking about "Fixed_pkg_c.vhdl version is 1.3 of 2011" while mine is 1.1 of 2010

so any idea how can i overcome these warnings

thanks
 

The warnings are expected - those arrays have a deliberate null range.

The errors probably come from the fact that the Xilinx synthesisor is really really bad at VHDL. Did you download the Xilinx compatible version of the IEEE proposed library?
And the clue is in the name - IEEE_proposed is not a real library and is not an official release. It was a '93 compatible verison of the floatfixlib that was included in VHDL 2008. Vendors have been very very slow in including the proper version, so people are stuck using the IEEE proposed library and hoping that it works. I suggest raising an enhancement request with Xilinx.
 
  • Like
Reactions: vivo_m

    vivo_m

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top