Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to specify the clock in the SE'gcf file?

Status
Not open for further replies.

microww

Newbie level 6
Joined
Aug 6, 2004
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
125
Now I just design a digital sysntem.When I proceed the P&R,I meet some problem:
1.I dont know how to specify the generated_clock in the core?If I use "Level 0 "to specify the clock ,I can't create the clock tree!How can I do ?
my clock specification is :
"
(GLOBALS_SUBSET TIMING
//the outside main_clock
(WAVEFORM "wave25" 40.0 (posedge 0.0) (negedge 20.0 ))
//the generated clock by multiple 4
(WAVEFORM "wave625_Q0" 160.0 (posedge 0.0) (negedge 40.0))
(WAVEFORM "wave625_Q1" 160.0 (posedge 40.0) (negedge 80.0))
(WAVEFORM "wave625_Q2" 160.0 (posedge 80.0) (negedge 120.0))
(WAVEFORM "wave625_Q3" 160.0 (posedge 120.0) (negedge 160.0))

)//timing
)//globals


(CELL ()
( SUBSET TIMING
(ENVIRONMENT
(CLOCK "wave25" clk )
(CLOCK "wave625_Q0" p0.ph1.U27.Y)
(CLOCK "wave625_Q1" p0.ph1.U29.Y)
(CLOCK "wave625_Q2" p0.ph1.U24.Y)
(CLOCK "wave625_Q3" p0.ph1.U31.Y) "



2.During the design,when I transfer the variable between the top_module and sub_module ,can I change the variable name? If change the name at the interface ,Does it affect theprocess of creating the clock tree?
 

oh my god! No one can help me ?!
Today I fand some solutions for these problem,but I dont know whether it can work!Just try it!
 

We've met this problem in the post project. We found Pearl can generate the gcf as you want. That's all I know about it. I am not the P&R man.
 

Thanks !
Now it works!Something is that we can not do some operation on clock but just use as clock trigger!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top