Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to force Precision_Synthesis to ...

Status
Not open for further replies.

bugbugbug

Banned
Joined
Dec 4, 2011
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
0
Hi,How to force Precision_Synthesis RTL to show internal schematic of dff (d flipflip) instead of it`s symbol?
I want to see all the synthesised components in my project as primitive logic gates (and,or ,not & ...).
I mean when i click on VIEW RTL SCHEMATIC there should be nothing except and ,or, not,nand,xor,xnor.
 
Last edited:

i did that million of times for different kind of dff such as DFFRSE! but nothing happend
 

Just double click on the box (D flip-flop).
Here is my vhdl code & synthesised rtl schematic has been attached.




library ieee;
use ieee.std_logic_1164.all;

entity serial_crc_ccitt is
port (
clk :in std_logic;
reset :in std_logic;
enable :in std_logic;
init :in std_logic;
data_in :in std_logic;
crc_out :eek:ut std_logic_vector (15 downto 0)
);
end entity;

architecture rtl of serial_crc_ccitt is
signal lfsr :std_logic_vector (15 downto 0);
begin

-- Logic to CRC Calculation
process (clk) begin
if (rising_edge(clk)) then
if (reset = '1') then
lfsr <= (others=>'1');
elsif (enable = '1') then
if (init = '1') then
lfsr <= (others=>'1');
else
lfsr(0) <= data_in xor lfsr(15);
lfsr(1) <= lfsr(0);
lfsr(2) <= lfsr(1);
lfsr(3) <= lfsr(2);
lfsr(4) <= lfsr(3);
lfsr(5) <= lfsr(4) xor data_in xor lfsr(15);
lfsr(6) <= lfsr(5);
lfsr(7) <= lfsr(6);
lfsr(8) <= lfsr(7);
lfsr(9) <= lfsr(8);
lfsr(10) <= lfsr(9);
lfsr(11) <= lfsr(10);
lfsr(12) <= lfsr(11) xor data_in xor lfsr(15);
lfsr(13) <= lfsr(12);
lfsr(14) <= lfsr(13);
end if;
end if;
end if;
end process;

crc_out <= lfsr;
end architecture;
 

Attachments

  • 2012-02-24_150948.png
    2012-02-24_150948.png
    11.2 KB · Views: 58

ungroup -all flaten
so , all components are on the smae level
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top