Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to design a clock multiplier in verilog

Status
Not open for further replies.

ikru26

Banned
Joined
Feb 1, 2005
Messages
97
Helped
8
Reputation
16
Reaction score
7
Trophy points
1,288
Location
INDIA
Activity points
0
verilog clock multiplier

can anyone give some details /outline of designing a clock multiplier in verilog.
 

vhdl clock multiplier

Do you have it's equivalent code in verilog?
 

vhdl code for clock multiplier

A synthesisable clock multiplier cant be implemented in verilog. well in actuall ckt you can use a 2 i/p xor gate with one input delayed by half (by adding delay buffers) for a x2 clock multiplier

I wonder whether you were thinking of clock dividers?????
 

you can use ip core in fpga look at DCM
 

if this is home work I cant answer it for you
if you are targeting an FPGA perhaps ..
there are DLL (Delay Lock Loop) modules that you can instantiate
giving 2x 4x etc ... clocks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top