Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to create UCF, when using DCM?

Status
Not open for further replies.

anee_anil

Newbie level 4
Joined
Sep 9, 2009
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,431
Hi all,



I am doing my design using DCM through coregen, How to create Ucf for that?



my design entity

entity fiber_splitter is
port(clk_fpga : in std_logic;
pci_clk : in std_logic;
addr_bus : in std_logic_vector(7 downto 0);
data_i : in std_logic_vector(15 downto 0);
ipp : in std_logic;
write_read_en : in std_logic;
reset : in std_logic;
pulse_1usec_outp : out std_logic_vector(48 downto 0)
);
end fiber_splitter;



entity of DCM

entity dcm_fiber is
port ( CLKIN_IN : in std_logic;
RST_IN : in std_logic;
CLKFX_OUT : out std_logic;
CLKIN_IBUFG_OUT : out std_logic;
LOCKED_OUT : out std_logic);
end dcm_fiber;



my clk_fpga runs at 10 Mh, I converted That to 100Mhz using DCM.

How to link those two clocks?

Please anybody create a ucf for this issue?
 

Hi,

Create a timing constraint of 10MHz for your input clock signal. When you configured your DCM correctly, Xilinx knowns that the internal clock should run at 100MHz.

Devas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top