Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to convert from hex to std_logic?

Status
Not open for further replies.

EDA_hg81

Advanced Member level 2
Joined
Nov 25, 2005
Messages
507
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
4,808
How to convert from hex to std_logic?

Thanks
 

Do you want to convert a hex value to a std_logic_vector?
There is no standard functions available. You need to write your own conversion function.:idea:
 

Your question doesn't make any sense. std_logic is a bit date type, it can receive '0' and '1' as hex values only. If you mean std_logic_vector instead, hexadecimal constants can be directly assigned to it, there's no conversion.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top