Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to add constraint to a wire?

Status
Not open for further replies.

weng

Member level 1
Joined
Jan 13, 2006
Messages
32
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,576
I tried to add constraint to a wire of my module. However, I always get "Warning: Can't find objects matching"

How can I add constarint on a wire?
 

constraint on wire :eek:
wht do u mean ??/
is it @synthesis stage????
is it timing constraint or anything NEW ?????
 

I'm guessing you are talking about a wire data type in verilog.

if it is so, my suggestion would be to constrain it as a combinational logic. i've heard that virtual clocks can be used to constrain combinational logic.
 

YEah virtual clocks can be used to constrain combo logic ......
how shud I constrain it as COMBINATIONAL logic???
U mean placing all combo in one module???
 

i'm not sure i follow. my understanding is, we use a virtual clock and use that to specify the delay values. i think we specify set_max_delay and set_min_delay.
 

YEs ...
I think he meant to constrain combo logic ie .. module without any FlipFlips
 

you can not set constraint on a wire.
unless you create a submodule, and make that wire to be I/O of the submodule.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top