Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Functional coverage using system verilog

Status
Not open for further replies.

abdalrahman_ehsan

Newbie level 6
Joined
Dec 17, 2013
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
119
Hi,

Do anyone knows a method to choose certain values in a range list while defining a cover point's bin
In systemverilog 2012 we can use "with clause" but earlier standard of systemverilog do not support this feature. Is there a solution ?

The tool version i am using doesn't support systemverilog 2012 !

Thanks
 

Hello Abdalrahman,

Please check whether the following snippet helps you or not.

Code:
bit [7:0] addr;

covergroup cg;
   coverpoint_addr : coverpoint addr { bins addr_0 = [0:50]; bins addr_1 = [51:100]; bins addr_2 = [101:150]; bins addr_3 = [151:200]; bins addr_4 = [201:255]; }
endgroup

// you can change it in your own way. If you want to limit the number of bins, use option.auto_max_bin = <num_bins>. Tool will automatically take care of the range as well
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top