Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

explanation of add_delay statement

Status
Not open for further replies.

niubility

Newbie level 2
Joined
Oct 20, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
shanghai
Activity points
1,294
question about add_delay

can any one sepcify what does it mean " Add delay (-add_delay):

Specifies that the delay is cumulative to any existing delay value." in quartus timing analyzer.
what 's the different with
set_output_delay -clock { tfclk_in } -rise -max 11.5 [get_ports {pp_ptpa_out}]
and set_output_delay -clock { tfclk_in } -add_delay -rise -max 11.5 [get_ports {pp_ptpa_out}]

many thinks
niu
 

Re: question about add_delay

to delay loop time is time delay
 

Re: question about add_delay

set_output_delay -clock { tfclk_in } -rise -max 11.5 [get_ports {pp_ptpa_out}]
and set_output_delay -clock { tfclk_in } -add_delay -rise -max 11.5 [get_ports {pp_ptpa_out}]

In the above two statements i dont see any difference except that add_delay option added in the second one.

Normally we use -add_delay option, if we have mutiple clock where your output ports get captured, in this scenario, the output port will have different delay for those corresponding capturing flipflops. For example output port pp_ptpa_out goes in to two flops with clk1 and clk2. the combo-logic before flop with clk1 is 1ns and combo-logic before flop with clk2 is 2ns.

your constraint should look this :
set_output_delay -clock { clk1} -rise -max 1 [get_ports {pp_ptpa_out}]
set_output_delay -clock { clk2} -rise -add_delay -max 2 [get_ports {pp_ptpa_out}]
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top