Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Error with ncvhdl on "protected" type

Status
Not open for further replies.

anjyothiswaroop

Newbie level 4
Joined
Jan 24, 2011
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Tempe, Arizona
Activity points
1,345
Hi,

I am using ncvhdl compiler 08.10-s019. I have been trying to simulate a package with a type that is declared as "protected" which is a part od vhdl 2002 feature. So in command line I tried simulating it as

ncvhdl -v200x -messages -work work const_pkg.vhd

But i am getting this error: type RANDOM_TYPE is protected

*E,BADTYP (const_pkg.vhd,105|22): expecting a type definition [4.1] [3].


And is my .cshrc file it is like this:

setenv IUS /usr/local/cadence/IUS0810019

setenv PATH $IUS/tools.lnx86/bin:$PATH

The directories seem to be fine. It would be great if someone can help me out with this. There are many more error but all are associated with the lines where this "protected" is used.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top